کتاب Architecting and Building High-Speed SoCs

  • کتاب Architecting and Building High-Speed SoCs
کتاب Architecting and Building High-Speed SoCs

خرید کتاب Architecting and Building High-Speed SoCs:

۲۵,۰۰۰ تومان

  • نسخه کتاب فعلی به زبان لاتین می‌باشد.
  • کتاب به صورت فایل می‌باشد و پس از خرید بلافاصله در دسترس شما قرار می‌گیرد.
  • در صورت هرگونه سؤال با ایمیل و یا شماره پشتیبانی سایت در تماس باشید.

کتاب Architecting and Building High-Speed SoCs (معماری و ساخت SoC های پرسرعت: طراحی، توسعه و اشکال‌زدایی سیستم‌های پیچیده مبتنی بر FPGA بر روی تراشه) منبعی بسیار کامل و کاربردی برای کار با SoC و FPGA های مدرن و جدید است. این کتاب در 14 فصل به شرح کامل این نوع سخت‌افزارها و کار با آن‌ها خواهد پرداخت.

در ادامه مقدمه‌ای از کتاب Architecting and Building High-Speed SoCs را از زبان نویسنده شرح خواهیم داد.

مقدمه‌ای بر کتاب Architecting and Building High-Speed SoCs:

SoC های مدرن و پیچیده می‌توانند با ترکیب قدرت پردازش پردازنده‌های ARM و Xilinx FPGA های غنی از ویژگی‌ها، با بسیاری از نیازمندی‌های سیستم سازگار شوند. شما باید پروتکل‌های زیادی را درک کنید، از انواع رابط‌های داخلی و خارجی استفاده کنید، تنگناها را مشخص کنید، و معماری SoC را در یک FPGA تعریف کنید تا راه‌حلی برتر در زمان بهینه و با هزینه بهینه تولید کنید.

کتاب Architecting and Building High-Speed SoCs یک رویکرد عملی را اتخاذ می‌کند تا به شما کمک کند بر جریان‌های طراحی سخت‌افزار و نرم‌افزار تسلط پیدا کنید، اتصالات کلیدی و رابط‌ها را درک کنید، عملکرد سیستم را تجزیه و تحلیل کنید و آن را با استفاده از تکنیک‌های شتاب افزایش دهید، و در نهایت، یک برنامه نرم‌افزاری مبتنی بر RTOS برای طراحی SoC پیشرفته بسازید.

کتاب Architecting and Building High-Speed SoCs با مقدمه‌ای بر مبانی فناوری FPGA SoC و ابزارهای طراحی توسعه مرتبط با آن‌ها، شما را در ساخت سخت‌افزار و نرم‌افزار SoC راهنمایی می‌کند، از تعریف معماری گرفته تا آزمایش بر روی یک برد آزمایشی یا یک پلت‌فرم مجازی.

سطح پیچیدگی با پیشرفت کتاب تکامل می‌یابد و برنامه‌های پیشرفته مانند ارتباطات، امنیت و شتاب سخت‌افزاری منسجم را پوشش می‌دهد. در پایان این کتاب، شما مفاهیم زیربنایی ویژگی‌های پیشرفته FPGA SoC را یاد خواهید گرفت و یک SoC پرسرعت را خواهید ساخت که یک FPGA سطح بالا را از ابتدا هدف قرار می‌دهد.

کتاب Architecting and Building High-Speed SoCs برای چه کسی است؟

این کتاب برای توسعه‌دهندگان سخت‌افزار و سیستم عامل FPGA و ASIC، مهندسان اینترنت اشیا، معماران SoC و هر کسی که علاقه مند به درک فرآیند توسعه یک SoC پیچیده، از جمله تمام جنبه‌های طراحی سخت‌افزار و طراحی سیستم عامل مرتبط است، در نظر گرفته شده است.

برای استفاده از این کتاب، دانش قبلی الکترونیک دیجیتال و مقداری تجربه در کدنویسی به زبان طراحی با سرعت بسیار بالا (VHDL) یا Verilog و C یا زبان مشابه مناسب برای سیستم‌های تعبیه شده مورد نیاز است. درک کلی از معماری FPGA و CPU برای استفاده از این کتاب مفید است اما اجباری نیست.

آنچه کتاب Architecting and Building High-Speed SoCs پوشش می‌دهد:

فصل 1، معرفی دستگاه‌ها و SoCهای FPGA، با توصیف فناوری FPGA و تکامل آن از زمانی که برای اولین بار توسط Xilinx در دهه 1980 اختراع شد، آغاز می‌شود. از شکاف صنعت الکترونیک که دستگاه‌های FPGA پوشش می‌دهند، استفاده از آن‌ها و سهولت استفاده برای پیاده‌سازی عملکردها و سیستم‌های سخت‌افزار دیجیتال سفارشی می‌گذرد. سپس SoCهای پرسرعت و تکامل آن‌ها را از زمانی که به عنوان راه حلی توسط فروشندگان اصلی FPGA در اوایل دهه 2000 معرفی شدند، توصیف می‌کند. به طبقه بندی SoC برای برنامه‌های هدف، به ویژه برای پیاده‌سازی FPGA نگاه می‌کند.

فصل 2 کتاب Architecting and Building High-Speed SoCs، دستگاه‌های FPGA و ابزارهای طراحی SoC، با ارائه یک نمای کلی از جریان طراحی سخت‌افزار Xilinx FPGA به طور کلی و ابزارهای مرتبط با آن آغاز می‌شود. سپس ابزارهای خاصی را که هنگام طراحی SoC برای FPGA استفاده می‌شود، برجسته می‌کند. همچنین تأیید سخت‌افزار طراحی SoC را با استفاده از ابزارهای شبیه‌سازی موجود معرفی می‌کند. این فصل همچنین جریان طراحی نرم افزار و مراحل مختلف آن را پوشش می‌دهد و ابزارهای درگیر در هر مرحله از طراحی نرم‌افزار برای یک SoC مبتنی بر FPGA را معرفی می‌کند.

فصل 3 کتاب Architecting and Building High-Speed SoCs، گذرگاه‌ها و اتصالات روی تراشه پایه و پیشرفته، با ارائه یک نمای کلی از گذرگاه‌ها و اتصالات داخلی مورد استفاده در یک SoC آغاز می‌شود. مفاهیم به اشتراک‌گذاری داده‌ها و انسجام و چگونگی حل چالش‌های مرتبط با آن‌ها را معرفی می‌کند. مقدمه خوبی برای پروتکل‌های AMBA و OCP است. همچنین حرکت داده‌ها در یک SoC و استفاده از موتورهای DMA را پوشش می‌دهد.

فصل 4 کتاب Architecting and Building High-Speed SoCs، اتصال دستگاه‌های پرسرعت با استفاده از گذرگاه‌ها و اتصالات داخلی، با ارائه یک نمای کلی از گذرگاه‌ها و اتصالات داخلی مورد استفاده خارج از تراشه برای اتصال SoC و/یا FPGA به سایر دستگاه‌های پرسرعت روی برد الکترونیکی آغاز می‌شود. اتصال PCIe، اتصال اترنت و پروتکل نوظهور Gen-Z را معرفی می‌کند. همچنین پروتکل نوظهور اتصال CCIX و مفهوم گسترش انسجام داده خارج از تراشه با افزودن لایه‌های پروتکل برای مدیریت آن را معرفی می‌کند.

فصل 5 کتاب Architecting and Building High-Speed SoCs، رابط‌های SoC پایه و پیشرفته، با تعریف یک رابط SoC برای یک عملکرد مشخص آغاز می‌شود. این رابط‌های SoC را طبقه‌بندی می‌کند و خدمات کنترل کننده مرتبط با آن‌ها را فهرست می‌کند. سپس، این فصل حافظه نهان پردازنده و سازمان‌های آن‌ها را با تمرکز بر معماری ARMv7 پوشش می‌دهد.

همچنین واحد مدیریت حافظه پردازنده و نقش آن در ترجمه آدرس مجازی به فیزیکی و اجرای مدیریت و حفاظت فضای آدرس را معرفی می‌کند. این برنامه به رابط‌های حافظه و ذخیره‌سازی مختلف برای حافظه‌های روی تراشه و حافظه‌های خارج از تراشه، توپولوژی‌ها و ویژگی‌های معماری آن‌ها و معیارهای انتخاب یک رابط معین (یا ترکیبی از بسیاری از آن‌ها) می‌پردازد.

فصل 5 کتاب Architecting and Building High-Speed SoCs

فصل 6 کتاب Architecting and Building High-Speed SoCs، چه چیزی در طراحی SoC با سرعت بالا به کجا می‌رود، به شما در مورد فاز تعریف معماری SoC که قبل از مراحل طراحی و پیاده‌سازی است، می‌آموزد. این مرحله برای معماران سیستم بسیار مفید است زیرا مجموعه خاصی از الزامات محصول را به یک توصیف سطح بالا از طراحی SoC برای انجام ترجمه می‌کند. این به جزئیات معیارهای مورد استفاده در مرحله تجزیه عملکردی می‌پردازد که در آن یک مبادله بین آنچه که برای پیاده‌سازی در سخت‌افزار مناسب‌تر است و آنچه که هدف خوبی برای پیاده‌سازی نرم‌افزار است حاصل می‌شود. این یک نمای کلی از مدل سازی سیستم SoC با استفاده از بسیاری از ابزارها و محیط‌های موجود می‌دهد.

فصل 7 کتاب Architecting and Building High-Speed SoCs، طراحی و جریان تأیید سخت‌افزار SoC FPGA، به ساخت سخت‌افزار SoC با استفاده از تمام ابزارهای معرفی‌شده در فصل‌های قبل می‌پردازد. این فصل به صورت عملی است، جایی که شما یک SoC ساده اما کامل را برای Xilinx FPGA می‌سازید. شما در هر مرحله از مراحل طراحی سخت‌افزار SoC، از مفهوم تا تولید تصویر FPGA، هدایت می‌شوید. این فصل همچنین جنبه‌های تأیید سخت‌افزار را پوشش می‌دهد، مانند استفاده از ابزارهای شبیه‌سازی موجود در سطح انتقال ثبت (RTL) برای شبیه‌سازی بخشی از طراحی و بررسی مشکلات احتمالی سخت‌افزار.

فصل 8 کتاب Architecting and Building High-Speed SoCs، جریان طراحی نرم افزار FPGA SoC، بر مراحل مربوط به ساخت نرم افزاری که بر روی پردازنده‌های SoC اجرا می‌شود، تمرکز دارد. ابتدا اجزای نرم افزار مورد نیاز این مرحله از فرآیند طراحی را پیکربندی خواهید کرد، مانند سفارشی کردن بسته پشتیبانی برد (BSP)، پیکربندی کتابخانه‌ها، و سفارشی کردن درایورها برای یک برنامه ساده. شما پروژه SoC ساخته شده در فصل قبل را مجدداً مشاهده خواهید کرد تا یاد بگیرید که چگونه یک ریزمعماری نرم افزار توزیع شده را تعریف کنید و مراحل ساخت تمام اجزای نرم افزار پروژه را با استفاده از برنامه‌های نرم‌افزاری فلزی خالی که سخت‌افزار SoC را هدف قرار می‌دهند، طی خواهید کرد.

فصل 9 کتاب Architecting and Building High-Speed SoCs، یکپارچه‌سازی سخت‌افزار و نرم‌افزار طراحی SoC، به شما کمک می‌کند تا یک فایل پیکربندی باینری FPGA را در دستگاه دانلود کنید و فاز پردازنده SoC را راه‌اندازی کنید یا اگر یک برد نمایشی در دسترس نیست، پلتفرم شبیه‌سازی را هدف قرار دهید. شما نرم افزاری را که روی پلتفرم مورد نظر اجرا می‌شود (مدل‌های سخت‌افزاری یا مجازی) اشکال زدایی کرده و با ابزارهای اشکال زدایی نرم افزار موجود آشنا خواهید شد. شما همچنین یاد خواهید گرفت که چگونه عملکرد نرم افزار را ارزیابی کنید و معیارهای مرتبط با آن را با استفاده از ابزارهای پروفایل نرم افزار درک کنید تا هر منطقه مورد نگرانی در سیستم طراحی شده را برجسته کنید.

فصل 10، ساخت سخت‌افزار پیچیده SoC با هدف قرار دادن FPGA، شما را با برخی از موضوعات پیشرفته طراحی SoC آشنا می‌کند که با توجه به ماهیت چند بعدی خود، چالش‌های زیادی را برای مهندسان طراح ایجاد می‌کند. این با همان رویکرد عملی فصل‌های قبلی با اضافه کردن عناصر پیچیده‌تر به طراحی سخت‌افزار ادامه خواهد داد.

اکنون برای میزبانی یک سیستم عامل تعبیه شده نیز ساخته خواهد شد. شما با تکنیک‌های شتاب سخت‌افزاری برای کمک به افزایش عملکرد سیستم آشنا می‌شوید و به دانش اساسی برای انجام این مرحله بدون چالش مجهز می‌شوید. شما روش‌های مختلفی را که می‌توان آن‌ها را اعمال کرد و اینکه چه جنبه‌های سیستمی باید در سطح معماری در پارادایم داده‌های مشترک در نظر گرفته شود را بررسی خواهید کرد.

فصل 10 کتاب Architecting and Building High-Speed SoCs

فصل 11 کتاب Architecting and Building High-Speed SoCs، پرداختن به جنبه‌های امنیتی یک SoC مبتنی بر FPGA، شما را با جنبه‌های امنیتی SoC و نحوه پرداختن به این جنبه‌ها توسط سخت‌افزار SoC FPGA آشنا می‌کند. سپس با پارادایم‌های امنیتی موجود در پردازنده‌های مبتنی بر ARM در سخت‌افزار SoC آشنا خواهید شد. سپس این فصل جنبه‌های امنیتی را از منظر نرم‌افزار و نحوه استفاده آن‌ها از ویژگی‌های امنیتی سخت‌افزاری که قبلاً ذکر شد برای ایجاد یک SoC امن در یک FPGA معرفی می‌کند.

فصل 12 کتاب Architecting and Building High-Speed SoCs، ساختن یک نرم افزار پیچیده با جریان سیستم عامل تعبیه شده، به شما در مورد جریان می‌آموزد و به شما کمک می‌کند ابزارهای مورد استفاده برای ساختن یک برنامه نرم افزاری پیچیده را برای اجرا بر روی SoC پیچیده FPGA کشف کنید. شما از ابزارهای طراحی موجود برای ایجاد SoC BSP برای سیستم عامل هدفمند تعبیه شده مانند FreeRTOS استفاده خواهید کرد. شما فرآیند تولید یک بوت لودر تعبیه شده برای برنامه مورد نظر را طی خواهید کرد تا در زمان اجرا هنگام روشن شدن یا تنظیم مجدد SoC مورد استفاده قرار گیرد.

فصل 13 کتاب Architecting and Building High-Speed SoCs، اصول پردازش ویدئو، تصویر و DSP در یک FPGA و SoC، برخی از برنامه‌های کاربردی پیشرفته پیاده‌سازی شده در FPGA و SoCهای مدرن را معرفی می‌کند و چه چیزی این دستگاه‌ها را به موتورهای محاسباتی قدرتمندی برای این نوع برنامه‌های کاربردی نیازمند پهنای باند و محاسباتی تبدیل می‌کند.

این فصل توضیح خواهد داد که چگونه پردازش موازی مورد نیاز برنامه‌های DSP به طور کلی می‌تواند به راحتی در منطق FPGA پیاده‌سازی شود و چگونه این موتورهای محاسباتی موازی می‌توانند به صورت داخلی و خارجی به حافظه‌های گسترده و داخلی به CPUهای قدرتمند موجود در SoCها متصل شوند.

فصل 14 کتاب Architecting and Building High-Speed SoCs، پیاده‌سازی سیستم ارتباط و کنترل در FPGA و SoC، به معرفی برنامه‌های پیشرفته‌تر پیاده‌سازی شده در FPGA و SoCهای مدرن ادامه می‌دهد و توضیح می‌دهد که چه چیزی باعث می‌شود این دستگاه‌ها به موتورهای محاسباتی قدرتمندی برای این نوع برنامه‌های I/O و پهنای باند نیاز داشته باشند.

این برنامه بر روی برخی از پروتکل‌های ارتباطی که می‌توانند از فرستنده‌های چند گیگابیتی FPGA استفاده کنند، منطقی که می‌تواند بازرسی بسته‌ها و فیلتر کردن را انجام دهد، و CPU که می‌تواند الگوریتم‌هایی را در SW برای مدیریت پشته ارتباطی و رابط با کاربر و سایر دستگاه‌های آنبرد. همچنین برنامه‌های کنترلی در FPGA و SoC ها و نحوه بهره‌مندی آن‌ها از تمام ویژگی‌های موجود را پوشش می‌دهد.

فصل 14 کتاب Architecting and Building High-Speed SoCs

سرفصل‌های کتاب Architecting and Building High-Speed SoCs:

  • Cover
  • Title Page
  • Copyright page
  • Contributors
  • Table of Contents
  • Preface
  • Part 1: Fundamentals and the Main Features of High-Speed SoC and FPGA Designs
    • Chapter 1: Introducing FPGA Devices and SoCs
    • Chapter 2: FPGA Devices and SoC Design Tools
    • Chapter 3: Basic and Advanced On-Chip Buses and Interconnects
    • Chapter 4: Connecting High-Speed Devices Using Buses and Interconnects
    • Chapter 5: Basic and Advanced SoC Interfaces
  • Part 2: Implementing High-Speed SoC Designs in an FPGA
    • Chapter 6: What Goes Where in a High-Speed SoC Design
    • Chapter 7: FPGA SoC Hardware Design and Verification Flow
    • Chapter 8: FPGA SoC Software Design Flow
    • Chapter 9: SoC Design Hardware and Software Integration
  • Part 3: Implementation and Integration Of Advanced High-Speed FPGA SoCs
    • Chapter 10: Building a Complex SoC Hardware Targeting an FPGA
    • Chapter 11: Addressing the Security Aspects of an FPGA-Based SoC
    • Chapter 12: Building Complex Software with an Embedded Operating System Flow
    • Chapter 13: Video and Image Processing and DSP Principles in an FPGA and SoCs
    • Chapter 14: Communication and Control Systems Implementation in FPGAs and SoCs
  • Index
  • Other Books You May Enjoy

جهت دانلود کتاب Architecting and Building High-Speed SoCs می‌توانید پس از پرداخت، دریافت کنید.

فرمت کتاب

PDF

ویرایش

First

ISBN

978-1-80181-099-9

تعداد صفحات

426

انتشارات

سال انتشار

حجم

38.69 مگابایت

نویسنده

نقد و بررسی‌ها

هنوز بررسی‌ای ثبت نشده است.

اولین کسی باشید که دیدگاهی می نویسد “کتاب Architecting and Building High-Speed SoCs”

نشانی ایمیل شما منتشر نخواهد شد. بخش‌های موردنیاز علامت‌گذاری شده‌اند *

خرید کتاب Architecting and Building High-Speed SoCs:

۲۵,۰۰۰ تومان

  • نسخه کتاب فعلی به زبان لاتین می‌باشد.
  • کتاب به صورت فایل می‌باشد و پس از خرید بلافاصله در دسترس شما قرار می‌گیرد.
  • در صورت هرگونه سؤال با ایمیل و یا شماره پشتیبانی سایت در تماس باشید.
دسته‌بندی کتاب‌ها:
سبد خرید
پیمایش به بالا